Welcome![Sign In][Sign Up]
Location:
Search - ps2 vhdl code

Search list

[VHDL-FPGA-VerilogPS2

Description: vhdl经典源代码——ps2接口设计,入门者必须掌握-vhdl classical source code-- ps2 interface design, beginners must master
Platform: | Size: 96256 | Author: jeffery | Hits:

[VHDL-FPGA-Verilogps2_verilog

Description: ps2_键盘控制器源码verilog源码,是一个不错的代码-ps2_ keyboard controller Verilog source code, is a good code
Platform: | Size: 13312 | Author: 高鹏 | Hits:

[VHDL-FPGA-VerilogkeyboardScan

Description: PS2接口键盘扫描码截取电路,VHDL程序。该程序能够捕获PS2键盘按下的按键值,并将其扫描码转换成ASCII码。-PS2 keyboard scan code interception interface circuit, VHDL procedures. The program can capture PS2 keyboard press the keys values and scan codes into ASCII code.
Platform: | Size: 1024 | Author: 宁新 | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: ps2 keyboard verilog源代码,支持ascii码.扫描码输出,扩展键输出,按下及释放信息输出-ps2 keyboard verilog source code, to support the ascii code. scan code output, the expansion of key output, press and release the information output
Platform: | Size: 5120 | Author: 李志刚 | Hits:

[SCMSource

Description: PS2鼠标实验源代码,Verilog语言编写-PS2 mouse experiment source code, Verilog language
Platform: | Size: 4096 | Author: zhan | Hits:

[VHDL-FPGA-VerilogPS2Fpga

Description: PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
Platform: | Size: 610304 | Author: 王军 | Hits:

[SCMVerilog_PS2

Description: ps2控制的verilog代码,学习的好资料-ps2 control Verilog code, a good study information
Platform: | Size: 501760 | Author: sdffer343 | Hits:

[SCMps2

Description: 鼠标的verilog源代码 ,对初学者有很大帮助-Mouse Verilog source code, there is very helpful for beginners
Platform: | Size: 3072 | Author: Jackie | Hits:

[Otherzlg_avalon_ps2mouse

Description: 周立功SOPC 嵌入式系统实验教程书籍配套光盘 PS2鼠标驱动代码-Ligong week experimental course SOPC embedded systems supporting CD-ROM books PS2 mouse driver code
Platform: | Size: 21504 | Author: 周正波 | Hits:

[SCMDesktop

Description: it is the ps2 interface code writed in modelsim
Platform: | Size: 4096 | Author: om | Hits:

[VHDL-FPGA-Verilogps2

Description: 采用sopc技术,nios2ide开发环境,实现nios对ps2键盘的控制,按键讲ascii码显示在led上-Using sopc technology, nios2ide development environment to achieve nios right ps2 keyboard control, key speakers led the ascii code is displayed in
Platform: | Size: 8153088 | Author: 蹇清平 | Hits:

[VHDL-FPGA-Verilogps22

Description: ps2键盘控制器的VHDL代码,个人感觉还是有一定的借鉴价值的,和大家分享一下吧。-ps2 keyboard controller VHDL code, personal feelings, or have a certain reference value, and share with you Bar.
Platform: | Size: 621568 | Author: yangzehui | Hits:

[OtherPS2LCDController

Description: PS2键盘LCD显示控制器的vhdl代码,很难得-PS2LCDController vhdl code
Platform: | Size: 27648 | Author: chpliao | Hits:

[VHDL-FPGA-VerilogPS2RS232

Description: 这是关于PS2和rs232串口的代码,verilog的,是深入了解串口的好的学习实例。-good code about rs232 and ps2
Platform: | Size: 1770496 | Author: 秦天 | Hits:

[Documentsps2

Description: ps/2键盘驱动器程序键盘VHDL编写,带测试文件-ps/2 keyboard driver code ,program with VHDL,with test file
Platform: | Size: 56320 | Author: sunyu | Hits:

[VHDL-FPGA-VerilogVHDL_code

Description: 基于FPGA的AD,DA,LCD,LED,CAN,I2C,PS2,VGA以及一些通讯ASK,FSK等的VHDL源程序,所有程序已通过调试,需要的拿走。-FPGA-based AD, DA, LCD, LED, CAN, I2C, PS2, VGA, and some communications ASK, FSK, etc. VHDL source code, all procedures have been debugging, need to take.
Platform: | Size: 14407680 | Author: zhaowenqi | Hits:

[VHDL-FPGA-VerilogPS2

Description: 此代码是PS2键盘的Verilog程序,键盘的字符可显示在LCD 1602上,经上板调试程序是可行的-This code is a PS2 keyboard Verilog program, keyboard characters can be displayed on the LCD 1602, after the board debug process is feasible
Platform: | Size: 9216 | Author: Evan Xie | Hits:

[VHDL-FPGA-Verilogps2

Description: 除了顶层模块(ps2_key),三个底层模块分别为PS/2传输处理模块(ps2scan)、串口传输模块(my_uart_tx)以及串口波特率选择模块(speed_select)(下面只给出顶层模块和PS/2传输处理模块的verilog代码,串口部分的设计可以参考串口通信设计)。-In addition to top-level module (ps2_key), three low-level modules are PS/2 transmission processing module (ps2scan), serial transmission module (my_uart_tx) and the serial port baud rate selection module (speed_select) (the following is given only to top-level module and PS/2 verilog code for transport processing module, serial part of the design can refer to the serial communication design).
Platform: | Size: 155648 | Author: lishaohui | Hits:

[VHDL-FPGA-VerilogPS2_kebord_controller

Description: PS2键盘控制器的VHDL源码,用FPGA直接读取键盘的输入并显示。-PS2 keyboard controller VHDL source code, with a direct FPGA to read keyboard input and displayed.
Platform: | Size: 845824 | Author: ilovethisgam | Hits:

[VHDL-FPGA-Verilogps2-vhdl

Description: ps2-vhdl源码 希望对大家有帮助-PS2-VHDL source code we want to help! ! ! !
Platform: | Size: 104448 | Author: xumeng | Hits:
« 12 3 »

CodeBus www.codebus.net